Tuesday, November 18, 2008

systemverilog keywords supported by synplify

Supported SystemVerilog Keywords:

always_comb, always_ff, always_latch, assert, bit byte, const, do, endinterface, enum, import, int, interface, logic, longint, modport, packed, priority, shortint, struct, typedef, unique.



Unsupported SystemVerilog Keywords:

assume, break, continue, endproperty, endsequence, expect, property, return, sequence, timeprecision, timeunit, union, void.

No comments: