category | feature | vlog/vsim | irun | description | same? |
general | N/A | all ncverilog plus options can be used Options in LC can also be in UC. | diff | ||
-help | -h, -helpall | help | diff | ||
-version | -version | version | same | ||
N/A | -64bit | 64bit | diff | ||
N/A | -clean | Deletes previous INCA_libs directory if it exists | diff | ||
N/A | -unbuffered | Do not buffer output | diff | ||
compilation | N/A | -c | Parse and elaborate, do NOT simulate | diff | |
N/A | -forceelab | Force ncelab to execute | diff | ||
N/A | -cdslib/hdlvar <arg> | Specify a cds.lib/hdl.var file to be used | diff | ||
access | +acc | -access rwc | diff | ||
-i/-gui | -gui | force interactive / Invoke the Graphical User Interface | diff | ||
-c | N/A | Command line mode | diff | ||
+incdir+<dir> | -incdir <dirs> | Specify directories to search for `include files | diff | ||
+libcell | -libcell | Define library modules (found with -v|-y search) as cells | diff | ||
natively supported??? | -ovm | Turn on support for the OVM library | diff | ||
filelist | -f <filename> | -f <filename> | Scan file for args relative to irun invocation | same | |
-L<lib dir> | -L<lib dir> | Directory to search for lib files | same | ||
-v <file> | -v <file> | Specify a library file to be used | same | ||
-y <directory> | -y <directory> | Specify a library directory to be used | same | ||
-work <library> | -work <library> | Library for command line | same | ||
coverage | N/A | -covdut | Select DUT for Coverage | diff | |
N/A | -covoverwrite | Enable overwrite of coverage output files | diff | ||
N/A | -covworkdir <string> | Select coverage workdir | diff | ||
N/A | -coverage <string> | Enable coverage instrumentation | diff | ||
N/A | -covfile <file> | Specify coverage instrumentation control file | diff | ||
-coveropt | N/A | Specify a digit for code coverage optimization level: 1 through 4. | diff | ||
+cover[=sbceftx] | N/A | Enable code coverage metrics by specifying the characters | diff | ||
optimization | N/A | -debug | Equivalent to -access +rw, Specman debug | diff | |
+acc=l | -linedebug | line debug | diff | ||
parameter | N/A | -defparam <arg> | Redefine the value of a Verilog parameter | diff | |
macro/define | +define+<macro_name>[=<macro_text>] | +define+<macro_name>[=<macro_text>] | Define a macro from command line | same | |
N/A | -ncvlogargs <string> | Pass arguments to Verilog parser | diff | ||
N/A | -ncelabargs <string> | Pass arguments to elaborator | diff | ||
-R [<simargs>] | -ncsimargs <string> | Pass arguments to simulator | diff | ||
simulation | -timescale <arg> | -timescale <arg> | Set default timescale on Verilog modules | same | |
-l <filename> | -l <filename> | Set logfile name | same | ||
N/A | -R | Simulate using last snapshot generated | diff | ||
-pli "<object list>" | -loadvpi/loadpli1 <arg> | Specify the PLI library_name:boot_routine(s) | diff | ||
-sv_seed <seed> | -svseed <seed> | Set SystemVerilog default RNG seed | diff | ||
-do <file> | -input <file> | Read TCL commands from file | diff | ||
Tuesday, July 13, 2010
nc vs questa
Subscribe to:
Post Comments (Atom)
No comments:
Post a Comment